61阅读

lcd1602显示程序-LCD1602的滚动显示程序

发布时间:2018-05-04 所属栏目:单片机数码管显示程序

一 : LCD1602的滚动显示程序

**************************************LCD1602头文件*********************************/

#ifndef _LCD1602_H_
#define _LCD1602_H_


//输入方式设置
#define LCD_AC_AUTO_INCREMENT    0x06   //数据读、写操作后,AC自动增一
#define LCD_AC_AUTO_DECREASE     0x04   //数据读、写操作后,AC自动减一
#define LCD_MOVE_ENABLE          0x05   //数据读、写操作,画面平移
#define LCD_MOVE_DISENABLE       0x04   //数据读、写操作,画面不动
#define LCD_GO_HOME              0x02   //AC=0,光标、画面回HOME位
//设置显示、光标及闪烁开、关
#define LCD_DISPLAY_ON           0x0C        //显示开
#define LCD_DISPLAY_OFF          0x08        //显示关
#define LCD_CURSOR_ON            0x0A        //光标显示
#define LCD_CURSOR_OFF           0x08        //光标不显示
#define LCD_CURSOR_BLINK_ON      0x09        //光标闪烁
#define LCD_CURSOR_BLINK_OFF     0x08        //光标不闪烁

//光标、画面移动,不影响DDRAM
#define LCD_LEFT_MOVE            0x18        //LCD显示左移一位
#define LCD_RIGHT_MOVE           0x1C        //LCD显示右移一位
#define LCD_CURSOR_LEFT_MOVE     0x10        //光标左移一位
#define LCD_CURSOR_RIGHT_MOVE    0x14        //光标右移一位

//工作方式设置
#define LCD_DISPLAY_DOUBLE_LINE  0x38        //两行显示
#define LCD_DISPLAY_SINGLE_LINE  0x30        //单行显示
#define LCD_CLEAR_SCREEN 0X01  //清屏

/***********************LCD1602地址相关******************************/

#define LINE1_HEAD   0x80  // 第一行DDRAM起始地址
#define LINE2_HEAD   0xc0  // 第二行DDRAM起始地址
#define LINE1        0     //第一行
#define LINE2        1     //第二行
#define LINE_LENGTH  16    //每行的最大字符长度

/***********************LCD1602接线引脚定义**************************/

#define LCDIO    P2        //定义P2口与LCD1602的数据口相接
 sbit  LCD_RS=P1^4;        
 sbit  LCD_RW=P1^3;        
 sbit  LCD_EN=P1^2;
 sbit LCD_BUSY=LCDIO^7;
 /**********************另外相关的定*********************************/
 #define HIGH              1
 #define LOW               0  
 #define TURE              1
 #define FALSE            0
 #define uchar unsigned char
 #define uint unsigned int
 
 /*************************以下是函数的申明部*************************/
 void LCD_init(void);                   //LCD1602初始化
 void LCD_send_command(uchar command);  //
 void LCD_send_data(uchar dat);
 void LCD_write_char(uchar x,uchar y,uchar dat);
 void LCD_disp_string(uchar x,uchar y,char *Data);
 void delay_ms(uint n);
 void LCD_check_busy(void);
 #endif
 /******************************************************************

/#include
#include "LCD1602.h"
/*******************主函数**********************************/
uchar string[]="    I LOVE YOU! ";           //这里是要显示的字符

扩展:lcd1602滚动显示 / lcd1602显示程序 / lcd1602显示数字程序


void main(void)
{
 uchar *cp;
 cp=string;
 LCD_init();
 while(1)
 {
  LCD_send_command(LCD_CLEAR_SCREEN);
  delay_ms(2);
  LCD_disp_string(0,1,cp);
  delay_ms(100);
        cp++;
  if(*cp=='''')
  {
   cp=string;                //到达字符的尾部时,改变指针,重新指向字符串的头部
  }
 }
}
/*********************************************************/
/**************LCD1602的初始化***************************/
void LCD_init(void)
{
 LCD_send_command(LCD_DISPLAY_DOUBLE_LINE);
 LCD_send_command(LCD_AC_AUTO_INCREMENT LCD_MOVE_DISENABLE);
 LCD_send_command(LCD_DISPLAY_ON LCD_CURSOR_OFF);
 LCD_send_command(LCD_CLEAR_SCREEN);
}
/********************************************************/
 
void LCD_check_busy(void)  //检测LCD状态,看它是不是还在忙呢
{
 do
 {
  LCD_EN=0;
  LCD_RS=0;
  LCD_RW=1;
  LCDIO=0xff;
  LCD_EN=1;
  }
  while(LCD_BUSY==1);
 
   LCD_EN=0;
}

/************LCD1602写命令*******************************/
void LCD_send_command(uchar command)
{
 LCD_check_busy();
 LCD_RS=LOW;
 LCD_RW=LOW;
 LCD_EN=HIGH;
 LCDIO=command;
 LCD_EN=LOW;
}
/********************************************************/
/*****************LCD1602写数据**************************/
void LCD_send_data(uchar dat)
{
 LCD_check_busy();
 LCD_RS=HIGH;
 LCD_RW=LOW;
 LCD_EN=HIGH;
 LCDIO=dat;
 LCD_EN=LOW;
}
/********************************************************
 void LCD_write_char(uchar x,uchar y,uchar dat)
{
    unsigned char address;
    if (y == LINE1)
        address = LINE1_HEAD + x;
    else
       address = LINE2_HEAD + x;
    LCD_send_command(address);
   LCD_send_data(dat);
}
******************LCD1602显示字符串*********************/
void LCD_disp_string(uchar x,uchar y,uchar *Data)
{
 if(y==LINE1)
 {
  if(x  {
   LCD_send_command(LINE1_HEAD+x);
   for(;x   {
    LCD_send_data(*(Data++));
   }
   if(*Data!='''')
   {
    x=0;
    y=LINE2;
   }
  }
 }
 if(y==LINE2)
 {
  LCD_send_command(LINE2_HEAD+x);
  for(;x  {
   LCD_send_data(*(Data++));
  }
 }
}
/****************************************************************/
/********************延时函数***********************************/
 
/***************************************************************/
void delay_ms(uint n)
{
 uint i,j;
 for(i=n;i>0;i--)
   for(j=0;j<1140;j++)
   ;
}
/*********************************************************************/ 

扩展:lcd1602滚动显示 / lcd1602显示程序 / lcd1602显示数字程序

二 : LCD1602.H(51单片机LCD1602显示驱动程序)

/*无敌高氯酸修改

函数功能

delay_ms(time)延时time毫秒

LCD_init()初始化清空LCD屏

LCD_print(x,y,str)在(x,y)坐标上显示str字符0=<x=<15y=0或者1

*/

/*定义端口*********************************************************/

#define Port P2 //数据端口

sbit Rs = P0^7;/*LCD 第四脚*/

sbit Rw = P0^6;/*LCD 第五脚*/

sbit En = P0^5;/*LCD 第六脚*/

/*定义类型*/

#define uchar unsigned char

#define uint unsigned int

/*定义LCD控制字*****************************************************/

//清屏及光标归位

#define LCD_CLEAR 0x01 // 清屏

#define LCD_HOMING 0x02 // 光标返回左上角

//显示开关控制指令

#define LCD_SCREEN_ON 0x0C //显示开

#define LCD_SCREEN_OFF 0x08 //显示关

#define LCD_CURSOR_ON 0x0A //显示光标

#define LCD_CURSOR_OFF 0x08 //无光标

#define LCD_C_FLASH_ON 0x09 //光标闪动

#define LCD_C_FLASH_OFF 0x08 //光标不闪动

//进入模式设置指令

#define LCD_AC_UP 0x06 //新数据后光标右移

#define LCD_AC_DOWN 0x04 //新数据后光标左移

#define LCD_S_MOVE_ON 0x05 // 画面可平移

#define LCD_S_MOVE_OFF 0x04 //画面不可平移

//设定显示屏或光标移动方向指令

#define LCD_C_LEFT 0x10 //光标左移1格,且AC值减1

#define LCD_C_RIGHT 0x11 //光标右移1格,且AC值加1

#define LCD_CHAR_LEFT 0x18 //显示器上字符全部左移一格,但光标不动

#define LCD_CHAR_RIGHT 0x1C //显示器上字符全部右移一格,但光标不动

uchar code number[10]={"0123456789"};

/*所有函数声明*********************************************************/

void LCD_init(void);

void LCD_wdata(uchar wdata);

void LCD_wcommand(uchar lcd_cmd,busy_f);

void LCD_gotoxy(uchar x,uchar y);

void LCD_print(uchar x,uchar y,uchar *str);

uchar Rstatus(void);

uchar LCD_rdata(void);

void delay_ms(unsigned int n){

unsigned int i=0,j=0;

for (i=n;i>0;i--)

for (j=0;j<1140;j++);

}

/*********************************************************************

函数名称:LCD_print()

功能描述:显示字符或字符串

入口参数:字符或字符串

返回值:无

**********************************************************************/

void LCD_print(uchar x,uchar y,uchar *str)

{

LCD_gotoxy(x,y);

while(*str!='\0')

{

LCD_wdata(*str);

str++;

}

}

/*

********************************************************************

函数名称:LCD_wcommand()

功能描述:LCD写指令

入口参数:uchar lcd_cmd:命令字,uchar busy_f:忙检测标志位

返回值:无

********************************************************************

*/

void LCD_wcommand(uchar lcd_cmd,busy_f)

{

if (busy_f) Rstatus(); //不忙才执行下个程序

Port = lcd_cmd;

Rs = 0;

Rw = 0;

En = 0;

En = 0;

En = 1;

}

/*********************************************************************

函数名称:LCD_wdata()

功能描述:LCD写数据

入口参数:uchar wdata:所写数据

返回值:无

*********************************************************************/

void LCD_wdata(uchar wdata)

{

Rstatus();

Port = wdata;

Rs = 1;

Rw = 0;

En = 0; //若晶振速度太高可以在这后加小的延时

En = 0; //延时

En = 1;

}

/*********************************************************************

函数名称:LCD_rdata()

功能描述:LCD读数据

入口参数:无

返回值:所读数据

*********************************************************************/

uchar LCD_rdata(void)

{

Rs = 1;

Rw = 1;

En = 0;

En = 0;

En = 1;

return Port;

}

/*********************************************************************

函数名称:Rstatus()

功能描述:LCD读忙状态

入口参数:无

返回值:若忙,则等待,不忙则返回Port

*********************************************************************/

uchar Rstatus(void)

{

Port = 0xFF;

Rs = 0;

Rw = 1;

En = 0;

En = 0;

En = 1;

while (Port & 0x80); //检测忙信号,不忙则退出等待

return(Port);

}

/*********************************************************************

函数名称:LCD_init()

功能描述:LCD初始化

入口参数:无

返回值:无

*********************************************************************/

void LCD_init(void)

{

Port = 0;

LCD_wcommand(0x38,0); //三次显示模式设置,不检测忙信号

delay_ms(3);

LCD_wcommand(0x38,0);

delay_ms(3);

LCD_wcommand(0x38,0);

delay_ms(3);

LCD_wcommand(0x38,1); //显示模式设置(0X38双行(5*7),0X34单行(5*10)),0X30单行(5*7);开始要求每次检测忙信号

LCD_wcommand(0x08,1); //关闭显示

LCD_wcommand(0x01,1); //显示清屏

LCD_wcommand(0x06,1); // 显示光标移动设置

LCD_wcommand(0x0C,1); // 显示开及光标设置

}

/*********************************************************************

扩展:单片机驱动lcd1602 / 51驱动lcd1602程序 / lcd1602与单片机连接

函数名称:LCD_gotoxy()

功能描述:定位到(x,y)位置

入口参数:x为行(0~1),y为列(0~15)

返回值:无

*********************************************************************/

void LCD_gotoxy(uchar x, uchar y)

{

x &= 0x1; //限制x不能大于1,y不能大于15

y &= 0xF;

if(!x) LCD_wcommand(0x80|y,1);

else LCD_wcommand(0xC0|y,1);

}

扩展:单片机驱动lcd1602 / 51驱动lcd1602程序 / lcd1602与单片机连接

三 : LCD1602原理及显示程序

在日常生活中,我们对液晶显示器并不陌生。液晶显示模块已作为很多电子产品的通过器件,如在计算器、万用表、电子表及很多家用电子产品中都可以看到,显示的主要是数字、专用符号和图形。在单片机的人机交流界面中,一般的输出方式有以下几种:发光管、LED数码管、液晶显示器。发光管和LED数码管比较常用,软硬件都比较简单,在前面章节已经介绍过,在此不作介绍,本章重点介绍字符型液晶显示器的应用。

在单片机系统中应用晶液显示器作为输出器件有以下几个优点:

显示质量高

由于液晶显示器每一个点在收到信号后就一直保持那种色彩和亮度,恒定发光,而不像阴极射线管显示器(CRT)那样需要不断刷新新亮点。因此,液晶显示器画质高且不会闪烁。 数字式接口

液晶显示器都是数字式的,和单片机系统的接口更加简单可靠,操作更加方便。

体积小、重量轻

液晶显示器通过显示屏上的电极控制液晶分子状态来达到显示的目的,在重量上比相同显示面积的传统显示器要轻得多。

功耗低

相对而言,液晶显示器的功耗主要消耗在其内部的电极和驱动IC上,因而耗电量比其它显示器要少得多。

10.8.1 液晶显示简介

①液晶显示原理

液晶显示的原理是利用液晶的物理特性,通过电压对其显示区域进行控制,有电就有显示,这样即可以显示出图形。液晶显示器具有厚度薄、适用于大规模集成电路直接驱动、易于实现全彩色显示的特点,目前已经被广泛应用在便携式电脑、数字摄像机、PDA移动通信工具等众多领域。

②液晶显示器的分类

液晶显示的分类方法有很多种,通常可按其显示方式分为段式、字符式、点阵式等。除了黑白显示外,液晶显示器还有多灰度有彩色显示等。如果根据驱动方式来分,可以分为静态驱动(Static)、单纯矩阵驱动(Simple Matrix)和主动矩阵驱动(Active Matrix)三种。 ③液晶显示器各种图形的显示原理:

线段的显示

点阵图形式液晶由M×N个显示单元组成,假设LCD显示屏有64行,每行有128列,每8列对应1字节的8位,即每行由16字节,共16×8=128个点组成,屏上64×16个显示单元与显示RAM区1024字节相对应,每一字节的内容和显示屏上相应位置的亮暗对应。例如屏的第一行的亮暗由RAM区的000H——00FH的16字节的内容决定,当(000H)=FFH时,则屏幕的左上角显示一条短亮线,长度为8个点;当(3FFH)=FFH时,则屏幕的右下角显示一条短亮线;当(000H)=FFH,(001H)=00H,(002H)=00H,……(00EH)=00H,(00FH)=00H时,则在屏幕的顶部显示一条由8段亮线和8条暗线组成的虚线。这就是LCD显示的基本原理。

字符的显示

用LCD显示一个字符时比较复杂,因为一个字符由6×8或8×8点阵组成,既要找到和显示屏幕上某几个位置对应的显示RAM区的8字节,还要使每字节的不同位为“1”,其它的为“0”,为“1”的点亮,为“0”的不亮。这样一来就组成某个字符。但由于内带字符发生器的控制器来说,显示字符就比较简单了,可以让控制器工作在文本方式,根据在LCD上开始显示的行列号及每行的列数找出显示RAM对应的地址,设立光标,在此送上该字符对应的代

码即可。

汉字的显示

汉字的显示一般采用图形的方式,事先从微机中提取要显示的汉字的点阵码(一般用字模提取软件),每个汉字占32B,分左右两半,各占16B,左边为1、3、5……右边为2、4、6……根据在LCD上开始显示的行列号及每行的列数可找出显示RAM对应的地址,设立光标,送上要显示的汉字的第一字节,光标位置加1,送第二个字节,换行按列对齐,送第三个字节……直到32B显示完就可以LCD上得到一个完整汉字。

10.8.2 1602字符型LCD简介

字符型液晶显示模块是一种专门用于显示字母、数字、符号等点阵式LCD,目前常用16*1,16*2,20*2和40*2行等的模块。下面以长沙太阳人电子有限公司的1602字符型液晶显示器为例,介绍其用法。一般1602字符型液晶显示器实物如图10-53:

图10-53 1602字符型液晶显示器实物图

10.8.2.1 1602LCD的基本参数及引脚功能

1602LCD分为带背光和不带背光两种,基控制器大部分为HD44780,带背光的比不带背光的厚,是否带背光在应用中并无差别,两者尺寸差别如下图10-54所示:

图10-54 1602LCD尺寸图 1602LCD主要技术参数: 显示容量:16×2个字符 芯片工作电压:4.5—5.5V 工作电流:2.0mA(5.0V) 模块最佳工作电压:5.0V 字符尺寸:2.95×4.35(W×H)mm 引脚功能说明

1602LCD采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表10-13所示: 编号 1 2 3 4 5 6 7

符号 VSS VDD VL RS R/W E D0

引脚说明 电源地 电源正极 液晶显示偏压 数据/命令选择 读/写选择 使能信号 数据

编号 9 10 11 12 13 14 15 16

符号 D2 D3 D4 D5 D6 D7 BLA BLK

引脚说明 数据 数据 数据 数据 数据 数据

背光源正极 背光源负极

数据 8 D1

表10-13:引脚接口说明表 第1脚:VSS为地电源。

第2脚:VDD接5V正电源。

第3脚:VL为液晶显示器对比度调整端,接正电源时对比度最弱,接地时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度。 第4脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。 第5脚:R/W为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和R/W共同为低电平时可以写入指令或者显示地址,当RS为低电平R/W为高电平时可以读忙信号,当RS为高电平R/W为低电平时可以写入数据。

第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。 第7~14脚:D0~D7为8位双向数据线。 第15脚:背光源正极。 第16脚:背光源负极。

10.8.2.3 1602LCD的指令说明及时序

1602液晶模块内部的控制器共有11条控制指令,如表10-14所示:

指令 R/

序号 RS D7 D6 D5 D4

W

清显示 1 0 0 0 0 0 0 光标返回 2 0 0 0 0 0 0 置输入模式 3 0 0 0 0 0 0 4 5 6

7 8 9 10 11

显示开/关控制 光标或字符移位 置功能

置字符发生存贮器地址 置数据存贮器地址 读忙标志或地址 写数到CGRAM或DDRAM)

从CGRAM或DDRAM读数

0 0 0 0 0 0 1 1

0 0 0 0 0 1 0 1

0 0 0 0

0 0

0 0

0 1

D3 0 0 0 1 S/C

D2 D1 0 0 1 D R/L

0 1 C *

D0 1 * B * *

I/D S

0 1 DL N F *

字符发生存贮器地址 1

显示数据存贮器地址 1

BF 计数器地址 要写的数据内容 读出的数据内容

表10-14:控制命令表

1602液晶模块的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平)

指令1:清显示,指令码01H,光标复位到地址00H位置。 指令2:光标复位,光标返回到地址00H。

指令3:光标和显示模式设置 I/D:光标移动方向,高电平右移,低电平左移 S:屏幕上所有文字是否左移或者右移。高电平表示有效,低电平则无效。

指令4:显示开关控制。 D:控制整体显示的开与关,高电平表示开显示,低电平表示关显示 C:控制光标的开与关,高电平表示有光标,低电平表示无光标 B:控制光标是否闪烁,高电平闪烁,低电平不闪烁。

指令5:光标或显示移位 S/C:高电平时移动显示的文字,低电平时移动光标。

指令6:功能设置命令 DL:高电平时为4位总线,低电平时为8位总线 N:低电平时为单行显示,高电平时双行显示 F: 低电平时显示5x7的点阵字符,高电平时显示5x10的点阵字符。

指令7:字符发生器RAM地址设置。 指令8:DDRAM地址设置。

指令9:读忙信号和光标地址 BF:为忙标志位,高电平表示忙,此时模块不能接收命令或者数据,如果为低电平表示不忙。 指令10:写数据。

指令11:读数据。

与HD44780相兼容的芯片时序表如下: 读状态 输入 RS=L,R/W=H,E=H 写指令 输入 RS=L,R/W=L,D0—D7=指令码,E=高

脉冲

读数据 写数据

输入 输入

RS=H,R/W=H,E=H

RS=H,R/W=L,D0—D7=数据,E=高脉

输出 输出

D0—D7=数据 无

输出 输出 D0—D7=状态字 无

表10-15:基本操作时序表

读写操作时序如图10-55和

10-56所示:

10-55 读操作时序

图10-56 写操作时序

10.8.2.4 1602LCD的RAM地址映射及标准字库表

液晶显示模块是一个慢显示器件,所以在执行每条指令之前一定要确认模块的忙标志为低电平,表示不忙,否则此指令失效。要显示字符时要先输入显示字符地址,也就是告诉模块在哪里显示字符,图10-57是1602的内部显示地址。

图10-57 1602LCD内部显示地址

例如第二行第一个字符的地址是40H,那么是否直接写入40H就可以将光标定位在第二行第一个字符的位置呢?这样不行,因为写入显示地址时要求最高位D7恒定为高电平1所以实际写入的数据应该是01000000B(40H)+10000000B(80H)=11000000B(C0H)。

在对液晶模块的初始化中要先设置其显示模式,在液晶模块显示字符时光标是自动右移的,无需人工干预。每次输入指令前都要判断液晶模块是否处于忙的状态。

1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如图10-58所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”

图10-58 字符代码与图形对应图

10.8.2.5 1602LCD的一般初始化(复位)过程

延时15mS

写指令38H(不检测忙信号)

延时5mS

写指令38H(不检测忙信号)

延时5mS

写指令38H(不检测忙信号)

以后每次写指令、读/写数据操作均需要检测忙信号

写指令38H:显示模式设置

写指令08H:显示关闭

写指令01H:显示清屏

写指令06H:显示光标移动设置

写指令0CH:显示开及光标设置

10.8.3 1602LCD的软硬件设计实例

在1602LCD第一行显示网站名:www.61k.com第二行显示联系电话:0571-85956028。实验前应先将显示切换开关切换到LCD工作状态。

10.8.3.1 硬件原理图

LCD液晶显示,用16F877A写程序如下:

写一个字符串程序:

#include<pic.h>

#define uchar unsigned char

#define uint unsigned int

#define DB PORTD //定义端口

#define rs RB0

#define e RB1

__CONFIG(0x3B31);

const uchar data[]="happy everyday";//输入的字符串 第一行

const uchar data1[]="xiexie";//第二行

void init();

void write_commond(uchar dat);//写指令函数

void write_data(uchar dat);//写数据函数

void delay(uint x);

void delay(uint x)

{

uint a,b;

for(a=x;a>0;a--)

for(b=110;b>0;b--);

}

void init()//初始化指令

{

write_commond(0x01);//0000000001,清除屏幕显示 write_commond(0x28);//设置4位格式,2行,5X7,功能设定 write_commond(0x0C);//0000001100,开显示,无光标,不闪烁 write_commond(0x06);//0000000110,置输入模式,光标右移,屏幕上的文字不移动 write_commond(0x14);//0001000100,设定CGRAM地址显示屏或光标移动方向 }

void write_commond(uchar dat)

{

rs=0;//指令 DB=dat; e=1;//允许 下降沿触发1-0 delay(5);

e=0;

DB=DB<<4;//左移四位从RD4~RD7进入LCD

delay(5);

e=1;

delay(5);

e=0;

}

void write_data(uchar dat)

{

rs=1;//数据

DB=dat;

e=1;//允许

delay(5);

e=0;

delay(5);

}

void main()

{

uchar i; TRISD=0X00;//定义端口寄存器 PORTD=0X00; PORTB=0X00; DB=DB<<4; e=1; delay(5); e=0;

TRISB=0X00;

init();

write_commond(0x80);//第一行的DDRAM的地址,不加0x10时,也可以从//最左边开始

for(i=0;i<12;i++) { } write_data(data[i]);//第一行的数据字符 delay(5); write_commond(0xc0);//第二行的DDRAM地址不加0x10也可 for(i=0;i<16;i++) { write_data(data[i]);//第二行的数据字符 delay(5);

for(i=0;i<16;i++)

write_commond(0x18);//光标不动,数据左移一位 } /*

{ delay(5); }*/ while(1);

}

写近一个字符A程序如下:

#include<pic.h>

#define uchar unsigned char

#define uint unsigned int

#define DB PORTD

#define rs RB0

#define e RB1

__CONFIG(0x3B31);

void init();

void write_commond(uchar dat);//写指令函数

void write_data(uchar dat);//写数据函数

void disp_char(uchar x,uchar y,uchar dat);//在屏幕某个位置显示一个字符,x(0-16),y(1-2) void delay(uint x);

void delay(uint x)

{

uint a,b;

for(a=x;a>0;a--)

for(b=110;b>0;b--);

}

void init()//初始化指令

{

}

void write_commond(uchar dat)

{

rs=0;//指令

DB=dat;

e=1;//允许 delay(5); write_commond(0x01);//0000000001,清除屏幕显示 write_commond(0x28);//设置4位格式,2行,5X7,功能设定 write_commond(0x0C);//0000001100,开显示,无光标,不闪烁 write_commond(0x06);//0000000110,置输入模式,光标右移,屏幕上的文字不移动 write_commond(0x80);//0001000100,设定CGRAM地址显示屏或光标移动方向

e=0;

DB=DB<<4;

delay(5);

e=1;

delay(5);

e=0;

}

void write_data(uchar dat)

{

rs=1;//数据

DB=dat;

e=1;//允许

delay(5);

e=0; delay(5); DB=DB<<4; e=1;

delay(5);

e=0;

}

void disp_char(uchar x,uchar y,uchar dat) {

uchar address; if(y==1) address=0x80+x; else address=0xc0+x;

write_commond(address); write_data(dat);

} delay(5);

void main()

{

TRISD=0X00; PORTD=0X00; PORTB=0X00; TRISB=0X00; init();

disp_char(0,1,'A');

while(1);

}

也可以是如下:

void disp_char(uchar x,uchar y,uchar dat) {

uchar i;

write_commond(0x80+x); if(i=0;i<16;i++)

{

write_data('A');

delay(5);

}

}

本文标题:lcd1602显示程序-LCD1602的滚动显示程序
本文地址: http://www.61k.com/1202216.html

61阅读| 精彩专题| 最新文章| 热门文章| 苏ICP备13036349号-1