61阅读

数字频率计-数字频率计

发布时间:2018-02-08 所属栏目:简易数字频率计

一 : 数字频率计

数字频率计

目录

摘 要 ....................................................... 3

第一章 设计要求 .............................................. 4

原理框图 ............................................ 4

设计指标 ............................................ 4

设计方案比较 ........................................ 4

第二章 整体方案设计 ........................................... 5

2.1 算法设计 ........................................ 5

2.2 整体方框图及原理 ................................ 6

第三章 单元电路设计 ............................................ 7

3.1整形放大电路设计 ................................. 7

3.2时基电路设计 ..................................... 9

3.3闸门电路设计 .................................... 11

3.4控制电路设计 .................................... 13

3.5自动换挡设计 ………………………………………………14

3.5整体电路图 ...................................... 16

3.6整机原件清单 .................................... 16

第四章 设计小结 ............................................... 17

5.1 设计任务完成情况 ............................... 17

5.2 问题及改进 ..................................... 18

5.3心得体会 ........................................ 19

第五章 参考文献 ............................................... 19

1

数字频率计 数字频率计

摘要

数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器。(www.61k.com)其基本功能是测量正弦信号、方波信号、三角波信号以及其他各种单位时间内变化的物理量。

在数字电路中,数字频率计属于时序电路, 本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以触发器和计数器为核心,由信号输入、隔直,触发、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:攫取单位时间内进入计数器的脉冲个数;时基信号:基准信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。设计中采用了模块化设计方法,采用适当的放大和整形,提高了测量频率的范围。

本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。整个设计配以仿真电路图和波形图加以辅助说明。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是仿真结果及分析,这部分是为了分析电路是否按理论那样正常工作,便于理解。三是性能测试,这部分用于测试设计是否符合任务要求。最后是对本次课程设计的总结。

关键字:频率计、时基电路、逻辑控制、分频、计数、逻辑显示

2

数字频率计 数字频率计

第一章 数字频率计的设计

1

数字频率计 数字频率计

正弦波

图1-1 数字频率计原理框图 2设计目标: (1) 被测信号的频率范围为1Hz?999KHz,分为4个档位:

1Hz,10Hz,100Hz,KHz。[www.61k.com)

(2) 具有自检功能,即用仪器内部的标准脉冲校准测量精度。

(3) 用3为数码管显示测量数据,测量误差小于10%。

(4) 用3个发光二极管表示单位,分别对应3个高档位。

(5) 具有自动换挡功能,即超量程能换高档,欠量程换低档。

3设计方案比较

(1)方案一

本系统采用可控制的计数、锁存、译码显示系统,石英晶体振荡器及多级 分频系统,带衰减器的放大整形系统和闸门电路四部分组成。由晶体振荡器,多级分频系统及门控电路得到具有固定宽度T的方波脉冲做门控信号,当门控信号到来,闸门开启,周期为TX的信号脉冲和周期为T的门控信号相与通过闸门,在闸门输出端产生的脉冲信号送到计数器,计数器开始计数,知道门控信号结束,闸门关闭。单稳1的哲态送入锁存器的使能端,锁存器将计数器结果锁存,计数 3

数字频率计 数字频率计

器停止计数并被单稳2的暂态清零。(www.61k.com)若取闸门的时间T内通过闸门的信号脉冲个数为N,则锁存器中的锁存计数。测量频率可直接从数字显示器上读出。

(2)方案二

纯硬件的实现方法,系统采用由时基电路、放大整形电路、逻辑控制电路和数码显示器四部分组成。时基电路的作用是产生一个标准时间信号(高电平持续时间为1s),经过三极管与555构成的施密特整形电路放大整形,由74LS90十进制计数器和74LS273锁存器将所测的频率传给数码管,显示出来。

(3)方案比较

方案一和方案二均可实现课题要求,且方案二可根据闸门时间选择量程范围。而且方案二最大的特点就是全硬件电路实现,电路稳定性好、精度高、没有繁琐的软件调试过程,大大的缩短了测量周期。根据实际实验现有的器件及我们所掌握的知识层面,我们选择采用方案二。

第二章 整体方案设计

1 算法设计

频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。

数字频率计 数字频率计

被测信号

图2-2 频率测量算法对应的方框图

数字频率计 数字频率计

4

数字频率计 数字频率计

在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。[www.61k.com)该闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在103量级,则要求闸门信号的精度为10量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*103的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*103范围内。

但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍是1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10.

2 整体方框图及原理

数字频率计 数字频率计

输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。

频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。

计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。

5

数字频率计 数字频率计

控制电路:控制电路里面要产生计数清零信号和锁存控制信号。(www.61k.com)控制电路工作波形的示意图如图

数字频率计 数字频率计

2-5.

第三章 单元电路设计

1.放大整形电路

(1)电路分析:

对信号的放大功能由三极管构成放大电路来实现,对信号整形的功能由施密特触发器来实现。施密特触发器电路是一种特殊的数字器件,一般的数字电路器件当输入起过一定的阈值,其输出一种状态,当输入小于这个阈值时,转变为另一个状态,而施密特触发器不是单一的阈值,而是两个阈值,一个是高电平的阈值,输入从低电平向高电平变化时,仅当大于这个阈值时才为高电平,而从高电平向低电平变化时即使小于这个阈值,其仍看成为高电平,输出状态不这;低电平阈值具有相同的特点。

(2)电路图:

6

数字频率计 数字频率计

图3-1-1放大整形电路原理图

(3)仿真电路图:

频率为10KHZ时的仿真电路图:

数字频率计 数字频率计

图3-1-2频率为10KHZ时的仿真电路图

频率为1KHZ时的仿真电路图:

数字频率计 数字频率计

7

数字频率计 数字频率计

图3-1-3频率为1KHZ时的仿真电路图

2时基电路设计

(1)原理:

时基电路由两部分组成: 如图3-2-1所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/((R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。(www.61k.com]在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。如图3-2-2所示,第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。计数器在脉动模式可级联,通过将Q3连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。

如图3-2-4所示,555产生的1kHz的信号经过三次分频后得到3个频率分别为100Hz、10Hz和1Hz的方波。

(2)电路原理图:

数字频率计 数字频率计

8

数字频率计 数字频率计

图3-2-1 振荡器原理图

数字频率计 数字频率计

图3-2-2 分频电路原理图

(2)电路仿真图:

数字频率计 数字频率计

9

数字频率计 数字频率计

图3-2-3振荡器仿真图

数字频率计 数字频率计

图3-2-4 分频电路仿真图

3闸门电路设计

(1)原理

数字频率计 数字频率计

10

数字频率计 数字频率计

如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。[www.61k.com]74151的CBA接拨盘开关来对选频进行控制。当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz的信号为例。分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。

(2)原理图

11

数字频率计 数字频率计

图3-3-1 闸门电路原理图

(3)波形图

数字频率计 数字频率计

图3-3-2 闸门电路波形图

4控制电路设计

数字频率计 数字频率计

12

数字频率计 数字频率计

(1)电路分析 :

控制电路需要控制几个模块。(www.61k.com)包括计数电路,锁存电路,和译码显示电路。通过产生控制信号控制所要控制的模块,同时会产生清零信号和锁存信号,使显示器显示的测量结果稳定。

(1)计数电路

74160 的清除端是异步的。当清除端/MR 为低电平时,不管时钟端CP 状态如何,即可完成清除功能。74160 的预置是同步的。当置入控制器/PE 为低电平时,在 CP 上升沿作用下,输出端 Q0-Q3 与数据输入端 P0-P3 一致。对于54/74160,当 CP 由低至高跳变或跳变前,如果计数控制端 CEP、CET为高电平,则/PE 应避免由低至高电平的跳变,而 54/74LS160 无此种限制。74160 的计数是同步的,靠 CP 同时加在四个触发器上而实现的。当 CEP、CET 均为高电平时,在 CP 上升沿作用下 Q0-Q3 同时变化,从而消除了异步计数器中出现的计数尖峰。对于 54/74160,只有当CP 为高电平时,CEP、CET 才允许由高至低电平的跳变,而 54/74LS160的 CEP、CET 跳变与 CP 无关。74160 有超前进位功能。当计数溢出时,进位输出端(TC)输出一个高电平脉冲,其宽度为 Q0 的高电平部分。在不外加门电路的情况下,可级联成 N 位同步计数器。对于 54/74LS160,在 CP 出现前,即使 CEP、CET、/MR 发生变化,电路的功能也不受影响。

数字频率计 数字频率计

3-4-1计数电路原理图

(2)锁存/译码电路

13

数字频率计 数字频率计

4511是 BCD-7 段所存译码驱动器,在同一单片结构上由 COS/MOS 逻辑器件和 n-p-n 双极型晶体管构成。(www.61k.com)这些器件的组合,使 4511 具有低静态耗散和高抗干扰及源电流高达 25mA 的性能。由此可直接驱动 LED 及其它器件。 LT 、BI 、LE 输入端分别检测显示、亮度调节、存储或选通一 BCD 码等功能。当使用外部多路转换电路时,可多路转换和显示几种不同的信号。根据4511的真值表来连线,并不困难,这里就不做详解了。

数字频率计 数字频率计

3-4-2锁存/译码电路原理图

数字频率计 数字频率计

(3)小数点发生电路

14

数字频率计 数字频率计

在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。[www.61k.com]比如CBA接001测量频率的时候,它所测信号频率的范围是0.1KHz~99.9KHz,那么在显示的时候三个小灯泡的绿色灯泡的小数点要显示。CBA接010测量频率的时候,它所测信号频率的范围是0.01KHz~9.99KHz,那么显示的时候,最高位的数码管的小数点也要显示。对比一下两个输入的高低电平可以发现CA位不一样,显示的小数点就不一样。我通过基本门电路来实现。具体的实现方法见图3-4-1所示。

数字频率计 数字频率计

图3-4-3 小数点发生器原理图

(2)控制电路总原理图:

数字频率计 数字频率计

图3-4-2 控制电路原理图

通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。比如频率,周期,脉宽。同时控制电路还要产生74160的清零信号,4511的锁存信号。 15

数字频率计 数字频率计

控制电路。[www.61k.com]计数电路和译码显示电路详细的电路如图3-5所示。当74153的CBA接001、010、011的时候电路实现的是测量被测信号频率的功能。当74153的CBA接100的时候实现的是测量被测信号周期的功能。当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。图3-6是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。第四个是锁存信号。PT是高电平的时候计数器开始工作。CLR为低电平的时候,计数器清零。根据图得知在计数之前对计数器进行了清零。根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。

(3)控制电路总波形图:

数字频率计 数字频率计

图3-4-3 计数器CP信号波形PT端输入波形CLR段清零信号波形、4511锁存端波形图 图3-4-3,是测量被测信号频率是1.1KHz的频率的图。由于multsisim软件篇幅的关系。时基电路产生的信号直接用信号发生器来代替。图中电路1K的信号经过分频后选择的是100Hz的信号为基准信号。那么这个电路实现测量频率的范围是0.01KHz~9.99KHz的信号的频率。同时控制电路也实现了对被测信号的周期和脉宽的测量。当CBA的取一定的值,电路实现一定的测量功能。 5自动换挡

(1)原理图

16

数字频率计 数字频率计

图3-5-1自动换挡原理图

自动换挡时采用可增可减的计数器74LS192来实现的,然后在结合D触发器和各基本门电路就能做成一个可进可退的自动换挡了,换挡的工作时钟是在计数完后才开始工作的,然后显示器是超量程了或是欠量程了就通过D触发器反馈出来的信号在作用在74LS192上就可以实现增减档位了,本图是由高档位向低档位过渡的,经由实践可得从高档位开始测量可以减小仿真时间。[www.61k.com]

6整体电路图

(1)总电路图

数字频率计 数字频率计

图3-6-1 整体电路图

(2)总波形图

数字频率计 数字频率计

17

数字频率计 数字频率计

图3-6-1 整体电路图波形图

(3)工作原理:

被测信号输入整形放大,最开始的测量档位时1KHZ,待测量完一次后,是否需要换量程则在计数器工作完后到自动换挡工作来检测,此时如果需要换挡则74LS192的UP/DOWN端口会由1变为0,待自动换挡信号由高电位变为低电位的那刻又由0变为1产生一个上升沿以给计数器加一或减一,以此类推,知道换到适合的档位的适合就保持不变。(www.61k.com)图3-5-2是测试365hz信号的过程。(该图为proteus仿真结果,本报告用的都是multisim11的图,但是因为仿真速度受限所以重新摆置了一个proteus的图来测试仿真,原图也是正确的,只是仿真太慢了不好截图)。 7整机原件清单

数字频率计 数字频率计

数字频率计 数字频率计

18

数字频率计 数字频率计

第四章 设计小结

1 设计任务完成情况

基本完成只用了2周,但是后续还是有很多问题出现,比如在震荡电路的时候,要调出1KHZ的标准频率有点困难,一不小心就会导致波形变形严重,开始认为这是最简单的一个环节的。[www.61k.com]接着是整形放大,遇到了2种情况,能放大又整形的不好,能整形放大的又不好,后来仔细研究了一下终于解决这个问题了,这个2个模块算是整个电路里面比较简单的地方了。接着是最难的控制电路,花的时间也非常的多,我先设计的是不自动换挡的时候确保能正常计数了,然后再设计自动换挡来加到控制电路当中,几经调整最后终于完成了全部任务,小数点是最后解决的因为是最简单的。

2 问题及改进

在设计的555构成多谐振荡器输出的方波信号,由于电路里面使用的电容元件,在实验的时候,随着实验室里面温度的变化,输出信号的频率也会发生变化,这是造成误差的一个原因,为了在验收的时候提高测量的准确性,所以在测量前要调节电位器,把产生的方波信号接示波器,测量其输出频率,调节电位器,使输出的信号非常接近1KHz,这样的话在后面的测量中会减小误差。在调测计数显示电路的时候,在连接4511元件的时候忘记了将4511的5端接地,导致数码管无法计数,在实验的过程中,连接好电路以后,发现没反应,然后通过示波器一个一个检测元件的输入和输出信号,看看是不是和理论的一样。找出不符合理论的那部分,对照电路图进行检查修改,最后发现有的芯片的使能端没有接地,导致元件的功能没有实现。所以在连接电路的时候要细心,这也是要改进的地方。不然的话就会出现一个又一个的连接上面的问题。在最终测量频率很低的时候,那么本次电路测量频率的算法就有了一定的缺点。例如,当被测信号为0.5Hz时,其周期为2s,这时闸门的脉冲仍为1s显然是不行的。故应该加宽闸门脉冲的宽度假设闸门脉冲宽度加至10S,则闸门导通期间可计数5次,由于计数值5是10s的计数结果,故在显示之间必须将计数值除以10.加宽闸门信号也会带来一些问题:计数结果要进行除以10的运算,每次测量的时间最少要10s,时间过长不符合人们的测量习惯,由于闸门期间计数值过少,测量的精度也会下降。为了克服测量低频信号时的不足,可以使用另一种算法。将被测信号送入被测信号闸门产生电路,该电路输出一个脉冲信号,脉宽与被测信号的周期相等。再用闸门产生电路输出的闸门信号控制闸门电路的导通与开断。设置一个频率精度较高的周期信号(例如10KHz)作为时基信号,当闸门导通时,时基信号通过闸门到达计数电路计数。由于闸门导通时间与被测信号周期相同,则可根据计数器计数值和时基信号的周期算出被测信号的周期T。T=时基信号周期*计数器计数值。再根 19

数字频率计 数字频率计

数字频率计 数字频率计

据频率和周期互为倒数的关系,算出被测信号的频率f。(www.61k.com]这里面就提供一个思想。没有通过实践去验证。不可避免,这个算法也有它自己的缺陷。

还有就是从手动换挡,到只可进或只可退的自动换挡,最后改进成了可进可退的自动换挡,其中的路途是非常曲折的,主要不同的就是换挡计数器的选择,例如用了74LS160做出来的就是可进的自动换挡,用74LS192做出来的才是可进可退的自动换挡。

3心得体会

本次实习让我们体味到设计电路、连接电路、调测电路过程中的乐苦与甜。设计是我们将来必需的技能,这次实习恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的调试再到最后电路的成型,都对我所学的知识进行了检验。在实习的过程中发现了以前学的数字电路的知识掌握的不牢。同时在设计的过程中,遇到了一些以前没有见到过的元件,但是通过查找资料来学习这些元件的功能和使用。波形调试过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调准等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。合理的分配时间。在设计控制电路的时候,我们可以连接译码显示和计数电路,这样就加快了完成的进度。最重要的是要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。觉得这次试验选择了multisim11比较失误,做个仿真都要等个1,2小时,最长的一次我等了6个小时,太夸张了,但是又觉得proteus的人机界面不怎么友好,比较难使用,以后要趁着闲暇的时间去熟悉一下proteus了,因为整体电路是看着网上的图来修改的,所以没什么很大的成就感。完成了电子技术课程设计以后,因我和我的队友迫于考试,时间比较仓促,元器件也比较紧张,所以最终还是决定不做实物了,但也希望老师能给个对得起我这努力的分数。以后有时间了私下在慢慢做实物了。

第五章 参考文献

百度文库:http://wenku.baidu.com/

20

二 : 单片机数字频率计

频率测量在科技研究和实际应用中的作用日益重要。[www.61k.com)传统的频率计通采用组合电路和时序电路等大量的硬件电路构成,产品不但体积较大,运行速度慢,而且测量低频信号时不宜直接使用。频率信号抗干扰性强、易于传输 , 可以获得较高的测量精度。同时 , 频率测量方法的优化也越来越受到重视 . 并采用 AT< www.61k.com v w:st="on" TCSC="0" NumberType="1" Negative="False" HasSpace="False" SourceValue="89" UnitName="C">89C51单片机和相关硬软件实现。

MCS — 51 系列 单片机 具有体积小,功能强,性能价格比较高等特点,因此被广泛应用于工业控制和智能化仪器,仪表等领域。我们研制的频率计以 89c51 单片机为核心,具有性能优良,精度高,可靠性好等特点。

    实现一个宽频域,高精度的频率计,一种有效的方法是:在高频段直接采用频率法,低频段采用测周法。一般的数字频率计本身无计算能力因而难以使用测周发,而用 89c51 单片机 构成的频率计却很容易做到这一点。对高频段和低频段的划分,会直接影响测量精度及速度。经分析我们将 f=1MHz做为高频,采用直接测频法;将 f=1Hz 做为低频,采用测周期法。为了提高测量精度,我们又对高低频再进行分段。

    以 89C51 单片机 为控制器件的频率测量方法,并用 C 语言进行设计,采用单片机智能控制,结合外围电子电路,得以高低频率的精度测量。最终实现多功能数字频率计的设计方案,根据频率计的特点,可广泛应用于各种测试场所。

    在基础理论和专业技术基础上,通过对数字频率计的设计,用十进制数字来显示被测信号频率的测量装置。以精确迅速的特点测量信号频率,在本设计在实践理论上锻炼提高了自己的综合运用知识水平,为以后的开发及科研工作打下基础。

 

 

 

 

 

 

 

 

2 方案设计与初步论证:

2.1. 频率测量方法概述

    

                          频率测量方法

模拟法

  数字法

直读法

比较法

 

电容充放电式

 

电子计数式

 

 

电桥法

 

 

谐振法

差频法

示波法

拍频法

 

李沙育图形法

 

测周期法

 

 

 

表 1   频率测量方法

直读法又称无源网络频率特性测量法 ; 比较法是将被测频率信号与已知频率信号相比较 , 通过观、听比较结果 , 获得被测信号的频率 ;电容充放电式计数法是利用电子电路控制电容器充放电的次数 , 再用电磁式仪表测量充放电电流的大小 , 从而测出被测信号的频率值 ; 电子计数法是根据频率定义进行测量的一种方法 , 它是用电子计数器显示单位时间内通过被测信号的周期个数来实现频率的测量。

     利用电子计数式测量频率具有精度高、测量范围宽、显示醒目直观、测量迅速 , 以及便于实现测量过程自动化等一系列优点 , 所以下面将重点介绍电子计数式测量频率的几种方法。

      (1) 脉冲数定时测频法 (M 法 ) : 此法是记录在确定时间 Tc 内待测信号的脉冲个数 Mx , 则待测频率为 :

Fx= Mx/ Tc                                                              

     显然 , 时间 Tc 为准确值 , 测量的精度主要取决于计数 Mx 的误差。其特点在于 : 测量方法简单 ; 测量精度与待测信号频率和门控时间有关 , 当待测信号频率较低时 , 误差较大。

     ⑵ 脉冲周期测频法 (T 法 ) : 此法是在待测信号的一个周期 Tx 内 , 记录标准频率信号变化次数 Mo 。这种方 法测出的频率是 :

                        Fx = Mo/ Tx                                      

     此法的特点是低频检测时精度高 , 但当高频检测时误差较大。

     ⑶ 脉冲数倍频测频法 (AM 法 ) : 此法是为克服 M 法在低频测量时精度不高的缺陷发展起来的。通过 A 倍频 , 把待测信号频率放大 A 倍 , 以提高测量精度。其待测频率为 :

                       Fx= Mx/ ATo                                        

     其特点是待测信号脉冲间隔减小 , 间隔误差降低 ; 精度比 M 法高 A 倍 , 但控制电路较复杂。

      ⑷ 脉冲数分频测频法 (AT 法 ) : 此法是为了提高 T 法高频测量时的精度形成的。由于 T 法测量时要求待测信号的周期不能太短 , 所以可通过 A 分频使待测信号的周期扩大 A 倍 , 所测频率为 :

                          Fx = AMo/ Tx                                  

其特点是高频测量精度比 T 法高 A 倍 ; 但控制电路也较复杂。

      ⑸ 脉冲平均周期测频法 (M/ T 法 ) : 此法是在闸门时间 Tc 内 , 同时用两个计数器分别记录待测信号的脉冲数

Mx 和标准信号的脉冲数 Mo 。若标准信号的频率为 Fo , 则待测信号频率为 :

                         Fx = FoMx/ Mo                                  

M/ T 法在测高频时精度较高 ; 但在测低频时精度较低。

     ⑹ 多周期同步测频法 : 是由闸门时间 Tc 与同步门控时间 Td 共同控制计数器计数的一种测量方法 , 待测信号频率与 M/ T 法相同。此法的优点是 , 闸门时间与被测信号同步 , 消除了对被测信号计数产生的 ±1 个字误差 ,

测量精度大大提高 , 且测量精度与待测信号的频率无关 , 达到了在整个测量频段等精度测量。  

3 确定实验方案

3 . 1 可用实验方案介绍

3.1.1 方案 1

    采用频率计模块 ( 如ICM7216) 构成 , 原理框图如图 3.2 所示。特点是结构简单 , 量程可以自动切换。

      ICM7216 内部带有放大整形电路 , 可以直接输入模拟信号。外部振荡部分选用一块高精度晶振体和两个低温系数电容构成 10MHz 并联振荡电路。用转换开关选择 10ms ,0. 1s ,1s ,10s 四种闸门时间 , 同时量程自动切换。

缓冲电路是为了让频率计采用记忆方式 , 即计数过程中不显示数据 , 待计数过程结束后 , 显示测频结束 , 并将此显示结果保持到下一次计数结果 , 显示时间不小于 1s , 小数点位置随量程自动移动。芯片驱动电路输出 15mA — 35mA 的峰值电流 , 所以在 5V电源下可直接点亮LED。

 

                    图 3.2   ICM7216 测频电路原理框图

3.1.2 方案 2 :

系统采用可编程逻辑器件 (PLD ,如 ATV 2500) 作为信号处理及系统控制核心,完成包括计数、门控、显示等一系列工作。

该方案利用了 PLD 的可编程和大规模集成的特点,使电路大为简化,但此题使用 PLD 则不能充分发挥其特点及优势,并且测量精度不够高,导致系统性能价格比降低、系统功能扩展受到限制。

原理框图如图 B — 1 — 2 所示

 

3 . 1 . 3 方案 3:

系统采用 MCS —— 51 系列 单片机 8032 作为控制核心,门控信号由 8032 内部的计数定时器产生,单位为 1 µs 。 由于单片机的计数频率上限较低 (12MhZ 晶振时约 500khz ) ,所以需对高频被测信号进行硬件欲分频处理, 8032 则完成运算、控制及显示功能。由于使用了单片机,使整个系统具有极为灵活的可编程性,能方便地对系统进行功能扩展与改进。

原理框图如 B — 1 — 3 所示。

 

3.2 方案比较及确定

以上方案均需使用小信号放大、整形通道电路来提高系统的测量精度和灵敏度。

方案比较及选用依据:

显然方案二要比方案一简洁、新颖,但从系统设计的指标要求上看,要实现频率的测量范围 0 . 1Hz-10MHz 。以频率下限 0.1Hz 比来说,要达到误差 〈 0 . 01 % 的目的,必须显示 5 位的有效数字,而使用直接测频的方法,要达到达个测量精度,需要主门连续开启 1000S ,由此可见,直接测频方法对低频测量是不现实的,而采用带有运算器的 单片机 则可以很容易地解决这个问题,实现课题要求。也就是采用先测信号的周期,然后再通过单片机求周期的倒数的方法,从而得到我们所需要的低频信号的测量精度。另外由于使用了功能较强的 8032 芯片,使本系统可以通过对软件改进而扩展功能,提高测量精度。因此我们选择采用方案三作为具体实施的方案。

 

 

     

 

3.3   频率测量模块

对数字频率计电路各模块的实现有以下几种不同设计方案:

   对频率测量模块有以下四种实现方法:

( 1 )直接测频法   直接测频法是把被测频率信号经脉冲形成电路后加到闸门的一个输入端,只有在闸门开通时间 T (以秒计)内,被计数的脉冲被送到十进制计数器进行计数。设计数器的值为 N ,由频率定义式可以计算得到被测信号频率为

                             f=N/T                                      (3.9)

   经分析,本测量在低频段的相对测量误差较大。增大 T 可以提高测量精度,但在低频段仍不能满足该题发挥部分的要求。

( 2 )组合法   直接测量周期法在低频段精度高。组合测频法是指在低频时采用直接测量周期法测信号周期,然后换算成频率。这种方法可以在一定程度上弥补方法( 1 )的不足,但是难以确定最佳分测点,且电路实现较复杂。

( 3 )倍频法   直接测频法在高频段有着很高的精度。可以把频率测量范围分成多个频段,使用倍频技术,根据频段设置倍频系数将经整形的低频信号进行倍频后再进行测量,高频段则进行直接测量。

( 4 )高精度恒误差测频法   通过对传统的测量方法的与研究,结合高精度恒误差测量原理,我们设计里一种测量精度与被测频率无关的硬件测频电路。本方法立足于快速的宽位数高精度浮点数字运算。

   

                     图 3.4   预置门控信号图

    如图 3.4 所示,预置门控信号是一个宽度为 Tpr 的脉冲, CNT1 , CNT2 是两个可控计数器,标准频率信号从 CNT1 的时钟输入端 CLK 输入,其频率为 Fs ;经整形后的被测信号从 CNT2 的时钟输入端 CLK 输入,其频率为 Fxe ,测得 Fx 。

    当预置门控信号为高电平时,经整形后的被测信号的上升沿通过 D触发器的 Q 端同时启动计数器 CNT1 , CNT2 。 CNT1 , CNT2 分别对整形后的被测信号(频率为 Fx) 和标准频率信号(频率为 Fs) 同时计数;预置门信号为低电平时,经整形后的被测信号的一个上升沿将使这两个计数器同时关闭。

    设在一次预置时间 Tpr 中对被测信号计数值 Nx, 对标准频率信号的计数值 Ns ,则下式成立

                          F x/Nx=FS/Ns                               

推得                     Fx= ( Fs/Ns )· Nx                               

相对误差公式            

                        δ=± ( 2/Nδ+Fδ/Fδ )                              

    从误差分析中可以看出,其测量精度为 Ns 和标准频率精确度有关,而与被测频率无关。显然 Ns 决定于预置门时间和标准频率信号的频率,其关系式如下:

                        Ns=Tpr · Fs                                    

    如果采用频率为 60 MHz 的晶体振荡器,则有

                        |δ|≤1/Νs                                       

    若顶置门时间 Tpr = o . 1s ,则

                  Ns=0.1 × 60000000=6000000,     |δ|≤1.6 × 10¯6

可见,在整个测量范围内,精度比赛题发挥部分的要求高一个数量级,若采用更高频串的晶体振荡器或适当延长顶置门时间,精度则会更高。

    以上四种方法中,倍频法虽然在理论上可以达到很高的精度,但在低频段,就目前常规的锁相器件而言,锁相电路工作性能不理想,频率小于 looHz 时甚至不能工作 .

    前三种方法本质上都是立足于频率基本定义,没有摆脱传统的测量方法的局限。从下文的详细论述中可以看出,用方法 (4) 可以用 单片机 程序方便地完成宽位浮点数的数学运算,实现高精度测量。

    基于上述论证及第二部分中详细的理论分析,我们拟选择方法 (4) 。

3.4   周期测量模块

    (1) 直接周期测量法   用被测信号经放大整形后形成的方波信号直接控制计数门控电路,使主门开放时间等于信号周期 Tx, 时标为 Ts 的脉冲在主门开放时间进入计数器。设 T 为被测周期, Ts 为时标,在 Ts 期间计数值为 N ,可以根据以下公式来算得被测信号周期:

                       Tx=NTs                                          (3.15)

经误差分析表明,被测信号频率越高,测量误差越大。

    采用对多个周期进行计数取平均值的方法虽可提高精皮,但如果要达到赛题要求,测量频率为 0 . 1Hz 信号时,每测一次至少要等待 1000s ,显然是不可取的。

    (2) 高料度恒误差周期测量方法   本方法在测量电路和测量精度上与高精度恒误差频率测量完全相同,只是在进行计算时公式不同,用周期 T 代换高精度恒误差频率测量公式中的频率因数即可 .

  计算公式为

                       Tx=(Ts · Ns)/Nx                                 (3.16)

式中, Tx 为被测信号周期的测量值, Ns,Nx 分别与 (1—2) 式中的 Ns,Nx 含义相同。

    从降低电路的复杂度及提高招度上考虑、显然方法 (2) 远好于方法 (1) ,方法 (2) 的测量电路完全可以使用高精度恒误差频率测量电路 o

3.5   脉冲宽度测量模块

    在进行脉冲宽度的测量时,首先经信号处理电路进行处理,限制只有信号的 50 %幅度及其以上部分才能输入数字测量部分。脉冲边沿被处理得非常陡峭,然后送入测量计数器进行测量。

    测量电路在检测到脉冲的上升沿时打开计数器,在下降沿时关掉计数器。由下式

                       Twx=Nx/Fs                                      (3.17)

可知计数值既为测得的脉冲宽度。

3.6   周期脉冲信号占空比测量模块

    测一个脉冲信号的脉宽,记其值为 Twx1 ;信号反相后 , 再测一次脉宽并记录其值 Twx2, 通过以下公式汁算:

                      占空比 =[Twx1/(Twx1+Twx2)] × 100%                (3.18)

3.7   标频发生电路

本模块采用高频率稳定度和高精度的恒温可微调的晶体振荡器作标频发生电跃

3.8   小信号处理部分

小信号处理部分受限于宽带放大器的性能,放大电路需要附有高速整形电路。有以下几种方案。

    (1) 采用分立元件   使用场效应管做输入级,以提高输入阻抗。用截止频率 1000 的三极管如 9018 做放大级。由于电路复杂,要调节部分较多,且一致性差,故不采用。

    (2) 采用运算放大器   电路简洁,但因为与 TTL 电平接口而另需电平移位电路。并且要用运放做一高速宽带放大器,市场上难以买到高速运放,应用受到了限制。

    (3) 立接采用比较器   采用比较器可以简单地完成设计。采用高速比较器 LM361 可以处理高达 10 MHz 的插入信号。 LM961 有低输入失调电压和电压范围灵活等特点,响应时间最大仅 20ns ,输出电平可与 TTL 电平相匹配。

    综合考虑,本部分电路采用方案 (3) 。比较器输入易受干扰,因此电路上采用净化电源并合理安排地线。经最后实测,输入灵敏度 4mV 左右,完全满足小信号测量的需要。

4 、硬件系统实现

     系统电路原理框图如 4.1 图所示,因输入信号为脉冲信号,所以直接将信号送入 AT89C51 单片机 计数,但为了增强抗干扰能力及实现对非标准脉冲信号的测量,因此利用试密特触发器( 74LS132) 进行整形。

 

 

                     图 4.1   系统电路原理框图

5 、软件系统实现

    该方案主要利用 89C51 内部的定时器和数据处理,其程序设计相应软件包括:主程序、数据处理、显示主程序等。主程序流程图如 5.1 图所示。

 

                 图 5.1    主程序流程图

6 、基本测量原理与理论误差分析

6.1   高精度恒定误差频率/周期测量技术

频率测量误差分析及其公式推导如下。

    (1) 量化误差   设测得频率为 Fx 被测频率真实值为 Fxe 标准频率为 Fs, 在一次测量中预置门时间为 Tpr ,被测信号计数值为 Nx ,标推频率信号计数值为 Ns .

    Fx 计数的起停时间都是由该信号的上升沿触发的,在 Tpr 时间内对 Fx 的计数 Nx 无误差;在此时间内 Fs 的计数从 Ns 最多相差一个脉冲,即

                          |Δet|≤1                                          (6.1)

而                       Fx/Nx=Fs/Ns                                     (6.2)

                      Fex/Nx=Fs/(Ns+Δet)                                 (6.3)

由上式可得

                      Fx=(Fs/Ns) · Nx                                     (6.4)

                      Fxe=[Fs/(Ns+Δet)] · Nx                               (6.5)

根据相对误差公式有

                     |δ|=ΔFxe/Fxe=|Fxe-Fx|/Fxe                             (6.6)

由上式得                ΔFxe/Fxe=|Δt|/Ns                                   (6.7)

因为                    |Δet|≤1                                             (6.8)

所以                   |Δet|/Ns≤1/Ns                                       (6.9)

即                     |δ|=ΔFxe/Fxe≤1/Ns                                  (6.10)

                      Ns=Tpr · Fs                                         (6.11)

由上式可以得出以下结论

① 相对测量误差与频率无关。

② 增大 Tpr 或提高 Fs ,可以增大 Ns ,减少测量误差,提高测量精度。

  ( 2 )标准频率误差   标准频率误差为 ΔFs/Fs, 因为晶体的稳定度很高,标准频率误差可以进行校准,相对于量化误差,校准后的标准频率误差可以忽略。

  ( 3 )分变率误差分析

先由 单片机 给出闸门开启信号 , 此时计数器并不计数 , 而是等到被测信号的上升沿到来时 , 才开始计数。然后 , 两组计数器分别对被测信号和时标脉冲计数,当单片机给出闸门关闭信号后 , 计数器并不立即停止计数 , 而是等到被测信号下降沿到来的时刻才结束计数 , 完成一次测量过程。可以看出 , 实际闸门与设定的闸门并不严格相等 , 但最大差值不超过被测信号的一个周期。

     设被测信号的计数为 NX , 对时标的计数为 N0 , 时标频率为 f0 , 闸门时间为 τ, 则被测信号频率为 :

       fx = foNx/ No                                                     (6.12)

     计数器的开闭与被测信号是完全同步的 , 即在实际闸门中包含整数个被测信号的周期 , 因而不存在对被测信号计数的 ±1 个字误差 , 由式 ⑴ 微分可得 :

       dfx= - ( NxfodNo)/ N02                                             (6.13)

       dN0= ±1                                                       (6.14)

       τ=Nx/fx                                                         (6.15)

      相对误差为 :

       e=ΔfX/fX=ΔNX/NX-ΔN0/N0+Δf0/f0             (6.16)                             式( 5 )中前两项分别表示计数器 T0 和计数器 T1 的误差 , 第三项为频率准确度。由于计数是在相关同步门控时间 τ 内完成的 , 即由待测信号同步控制 , 因此同步门控 τ 与计数器 T1 的计数脉冲相关 , 且 T/ Tx 的比值 Nx 为整数 , 故被测信号计数值不存在计数误差。而计数器 T0 对时标的计数 , 由于门控 τ 的启闭时刻的随机性及 T/ T0 之比值 N0 为非整数 ( T0 为时标周期 ) , 在门控 τ 的启闭时刻分别有时间零头 ΔT1 和 ΔT2 无法计入 , 故存在 ±1 误差。当忽略频率准确度误差时 , 多周期同步法测频的最大误差为 :

       em = ±1/ N = ±1/ (τf0)                                              (6.17)

    由式 (6) 可以看出 , 测量分辨率与被测信号频率的大小无关 , 仅与闸门时间及时标频率有关 , 即实现了被测频带内的等精度测量 , 闸门时间越长 , 时标频率越高 , 分辨率越高。

6.2   预置门时间信号与闸门时间信号

    预置门的概念与传统的闸门的概念是不同的。预置门是指同时启动或停止标准频率信号计数器和被测信号计数器的门控信号。硕置门的概念用于高精度恒定误差测频/测周期方法中,并称预置门的时间宽度为预置门时间。

    高精度恒定误差测频方法测量精度与预置门时间和标准频率有关,与被测信号的频率无关。在预置门时间和闸门时间相同而被测信号频率不同的情况下,高精度恒误差额率测量法的测量精度不变,而直接测频法精度随着被测信号频率的增加而接近线性地增大。

6.3   高精度恒误差周期测量方法

  分析思路和结果均与对高精度恒误差频率测量相似或相同。

6.4   脉冲宽度测量理论误差分析

  根据方案中的脉冲宽度测量方法,分析脉宽测量误差。

  设被测信号脉宽为 Twxe ,标准频率信号频率为 Fs ,则脉冲宽度的测量值为

                        Twx=Nx/Fs                                      (6.18)

    在一次测量中,对标准频率信号的计数值 Nx 可能产生 ±1 个标准频率信号周期的计数误差,则脉宽测量相对误差为

                    |ΔTwx/Twx|=(1·Fs)/(Nx·Fs)=1/Nx                        (6.19)

其中 Nx = Twx · Fs. 可以看出,在 Fs 一定时,脉宽越小,误差越大。

    当 Twx=100μs , Fs=60MHz 时, Nx = 6000 ,则有

                    |ΔTwx/Twx|=1/6000=0.17 ‰

6.5 周期脉冲信号占空比测量误差分析

    使用第一部分中所述的占空比方法,根据误差合成原理,周期测量相对误差最大恒等于脉冲宽度测量相对误差。

    在标准频率为 60MHz ,被测频率 1kHz( 即周期为 0.001s) 时。设其占空比为 10 %,如果要满足题日部分要求,由脉冲宽测量相对误羌公式计算出的相对误差应小于

    1 / (0.001 × 10%×60×106) = 1 / 6000 = 0.17 ‰

实际精度完全可以超过这个要求。

7 、系统设计与电路分析

7.1 稳压电源设计

  本项设计要求的电源: ±12V 、 -12v 、 +5v 稳压电源。在进行电源设计时,功率交流输

入端加一级电源滤波器,以降低工频频率干扰。

7.2 测量控制电路

  单片机 (AT89C51) 完成整个测量电路的控制和数据处理.两片 Dpl5H016 完成计数器功

能。键盘信号由 AB9c51 进行处理。 AT89C51 从 ispLSI1016 读回计数数据进行高精度浮点运算,并向显示电路输出测量结果。电路如图 7.1 所示。

 

                             图 7.1    测量控制电路

 

7.3   输入信号处理部分

为测量小侍号,需要在输入端加前置信号处理电路,将小信号放大后送入整形电路 .

7.4   小信号处理部分

    电路如图 7.2 所示,在调试中发现 LM361 的输出在零瞬间有些毛刺,在输出与地间并联一只 100Hz 瓷片电容消除了毛刺,且处理后的波形仍较陡峭,本部分电路抗干扰能力也很强。

        

                      图 7.2    LM361 信号电路

7.5   标准频率方波发生电路

    本设计采用 60Hz 的晶体振荡器产生标准频率方波信号 ( 频标 ) 供数字测量电路使用。晶体振荡器采用恒温晶振,稳定度为: 2.0 × 107/ 24 小时。

7.6   显示器电路

  显示器电路采用 7SEG LED 显示器。

  因为本设计用高精度恒误差的频率和周期测试方法,预置门时间为 1 . 5s ,在标准频率信号为 60MHz 的情况下,根据上文讨论的高精度恒误差的频率和周期测试方法相对误差计算公式可以算出测量精度为

    1 / (1.5 × 60 × 106)=1.1 × 108

即能够显示接近 8 位有效数字,所以,电路中采用了 8 位 LED 显示器 .

7.7   实际数字测量部分

  本题目要求测量功能模块较多,题目中要求的被测信号以及标准频率信号为 1MHz ,发挥部分要求为 10MHz ,高频信号之间的信号干扰非常强。在方案实现过程中要求处处考虑到干扰问题,减少布线的复杂度。

    本设计拟定计数器所采用电路中的两个计数器应不低于 60MHz 。

    我们选用 LATTICE 公司高速 ispLSI 器件(在系统可编程大规模集成电路)。在一片 ispLSI 器件中可以完成一个或多个模块的设计,大大降低了电路复杂度,减少引线信号间的干扰,提高电路的可靠性、稳定性。鉴于本题目要求测量功能模块较多、我们采用两片工作频率为 60MHz 的 ispLSI1016-60 器件。

    采用在系统可编程逻辑器件来设计测量功能模块电路。

    在具体实现过程中我们将以下模块做在两片 ispLSI1016 器件中:

a 频率测量模块

b 周期测量模块;

c 脉冲宽度测量模块;

d 脉冲占空比测量模块;

e 自校电路。

                      

                     图 7.3     ispLSI 电路原理图

各模块电路详细设计如下:

    (1) 频率测量与周期测量电路   因为本设计采用高精度恒误差频率测量法与高精度恒误差周期测量法,这两种方法使用的电路完全相同,所以在方案实现中,频率测量与周期测量用同一电路,只是 单片机 运算部分算法不同。

    频率测量与周期测量电路由前图中的控制信号及以下三个子模块所构成:

    a. CONTRL—— 本模块的控制部分,主要接收 单片机 的指令.控制计数器 CONT1 、 CONT2 。

    b. CONTl—— 对由被测信号整形后形成的脉冲进行计数。

    c. CONT2 —— 对标准频率信号进行计数。

    根据高精度恒误差频率和周期测量原理,本模块电路按以下方式进行工作:经整形后被测信号脉冲从 CONTRL 的 FIN 端输人,标准频率信号从 CONTRL 的 FSD 端输入, CONTRL 的 CLK 输入端是本模块电路的工作初始化信号输入端。在进行频率或周期测量时,进行以下几步:

    a. 在 CONTRL 的 CLR 端加一正脉冲信号以完成测试电路状态的初始化;

    b. 由预置门控信号将 CONTRL 的 START 端置高电平,预置门开始定时。同时出被测信号的上升沿打开计数器 CONT1 ,并使标准频率信号进入计数器 CONT2 ;

    c. 须置门定时结束信号把 CONTRL 的 START 端置为低电平。这位在被测信号的下一个脉冲的上升沿到来时, CONTl 停止计数,同时输入 CONT2 的标准频率信号被关断。

    d. 控制部分 CONTRL 的 EEND 端输出低电乎来指示测量计数结束。 单片机 读回 CONTl 和 CONT2 的计数值,根据高精度恒误差测量公式进行浮点运算,计算出被测信号的频率或周期值。

    (2) 控制部分设计   控制部分原理图如图 7.4 所示:

                      

                       图 7.4      控制设计电路图

     当 D 触发器的输入端 START 为高电平时,在 FIN 端来一个上升沿时, Q 端为高电平,导通 FIN—CLK1 和 FSD—CLK2 ,同时 EEND 被置为高电平;在 D 触发器的输入端 START 为低电平时,当 FIN 端输入一个脉冲上升沿, FIN—CLK1 和 FSD — CLK2 的信号通道披切断。

    计数器 CONT1 是用 8 个四位并行二进制计数器按行波计数器原理所构成的 32 位二进制计数器,输出 8 位数据总线,分 4 次将 32 位数据全部读出。 CONT1 的设计是用 ABEL 语言实现的。

    ispLSI1016 器件在结构上是由 16 个 GLB 所构成,每个 GLB 中含有四个可配置的触发器、 ( 对应着 GLB 的四个输出端 ) 。根据 GLB 的结构及我们的设计经验,四位并行二进制计数器在 ispLSI 中的布线是最优的。

    我们在EDA设计中严格采用模块设计法、总体设计采用原理图输入方式,每个子模块都采用 ABEL 语言描述。

    (3) 脉冲宽度测量和占空比列量电路   本模块的电路设计在 ispLSIL016 中。

    根据第二部分所述的脉宽副量原理,设计电路原理图如下所示。

 

                   图 7.5     脉冲测量宽度和占空比测量电路

输入到本模块的被测量信号是经过信号处理电路处理后的被测信号,该信号的上升沿和

下降沿信号对应于未经处理时的被测信号的 50 %幅度时上升沿和下降沿信号。

    信号从 FIN 端输入, CLR 为本模块电路的工作初始化信号输入端。 START 为本电路的工作使能端, PUL 端输出如图中所示 GATE 的输入端 PUL 。

    测量脉冲宽度采用以下几步:

    a 向 CONTRL2 的 CLR 端送一个脉冲以进行电路的工作状态初始化。

    b 将 GATE 的 CNT 端置高电平,表尔进入脉冲宽度测量,这时 CONT2 的输入信号为 PUL&FSD

    c 在经处理后的被测脉冲的上升沿到来时, CONTRAL2 的 PUL 端输出高电平,标准频率信号进入计数器 CONT2 。

    d 在经处理后的被测脉冲的下降沿到来时, CONTRL2 的 PUL 端输出低电平,计数器 CONT2 被关断。

    e 单片机 渎计数器 CONT2 的结果通过所述的测量原理公式计算得出脉冲宽度 .

    占空比的测量方法是通过测量脉冲宽度记录 CONT2 的计数值 Twx1, 然后将输入信号反相,再测量其脉冲宽度,测得 CONT2 计数值 Twx2 ,则可以计算出

    占空比= [Twx1/(Twx1–Twx2)] × 100%   

    (4) 自校电路

为了检测系统的精度,本电路附加了自校电路,用以产生 1MHz 信号,可在功能按键控制下进行自校。

8 、高频抗干扰设计

    在高频情况下,线路之间的干扰对频率测量结果影响很大,因此我们采用了一些高频线路抗干扰措施。

    测量电路的电路板采用自制印刷电路板,减少了引线交叉,降低了高频引线干扰,效果明显。例如,在模块初步设计和调试期间,我们在实验板上采用直接连线方式,因电路工作不稳定而出现了很大的测量误差。在自制印刷电路板上完成设计时,这个现象消失了。

    被测信号经过前置处理后,引向测量部分的引线全部采用屏蔽线。

    由于输入信号的变化可能很大,在大信号时能满足要求而在 50 mv( 峰 — 峰值 ) 时,很容易受到干扰。电路在设计时,采用大面积接地和金属屏蔽层,输入引线采用高频输入线,以消除外界的电磁场干扰;在每一部分的电源电路均采用电感、电容滤波,消除各部分电路的相互干扰;而且在电路中采用施密特触发器,提高比较门限,消除了大部分杂波,保证了信号的纯真度;对机械按键在闭合时伴有的抖动,加上了防抖控制; 单片机 还采用了 “ 看门狗 ” 电路.防止 CPU 失控,确保系统安全运行;在软件上采用软件陷阱、容错技术和均值滤波等抗干扰措施,提高了抗干扰特性;采用四字节乘除法保证了测试的精度在数据处理时不受影响。

9,频率计的软件设计

9.1计数原理

信号经过整形成为方波,输入到 端,在 P3.2 处于低电平时进行初始化操作; TR0 置位,打开定时器 / 计数器; GATE=1 ,使 T0 在 TR0 和 均为 1 时开始计数;将 T0 的高八位单元 TH0 和低八位单元 TL0 均赋值 00H, 使 T0 由 0 开始计数(如图 7 所示)。

 

              图 7 计数原理

T0 初始化完成后, TR0=1,GATE=1, 此时 T0 等待 升为高电平,高电平到达, T0 启动计数。每一机器周期, T0 自动加 1 计数。当 降为低电平后,由于不能同时满足 TR0 和 均为高电平,故 T0 停止计数。 T0 中存储的是以周期数表示的脉宽。

经式( 2 )计算得出频率 f 为

          ( 8 )

     此计算过程调用无符号双字除法程序来实现。

     为显示频率,必须将二进制码转换为 BCD 码,这一过程分两步完成。第一步,二进制转换成压缩 BCD 码;第二步,将压缩 BCD 码分送各缓冲区,调用显示子程序,送数码管延时显示频率值。

 

 

 

 

 

9.2总体软件编程:

  9 . 2 . 1 、 设计方案

      利用 AT89C51 单片机 的 T0 、 T1 的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过 4 位动态数码管显示出来。要求能够对 0 - 10KHZ 的信号频率进行准确计数,计数误差不超过± 1HZ 。

9 . 2 . 2 、 电路图

9 . 2 . 3 、 工作原理

  1). 定时 / 计数器 T0 和 T1 的工作方式设置,由图可知, T0 是工作在计数状态下,对输入的频率信号进行计数,但对工作在计数状态下的 T0 ,最大计数值为 fOSC/12 ,由于 fOSC = 12MHz ,因此: T0 的最大计数频率为 10KHz 。对于频率的概念就是在一秒只数脉冲的个数,即为频率值。所以 T1 工作在定时状态下,每定时 1 秒中到,就停止 T0 的计数,而从 T0 的计数单元中读取计数的数值,然后进行数据处理。送到数码管显示出来。

  2) . T1 工作在定时状态下,最大定时时间为 65ms ,达不到 1 秒的定时,所以采用定时 50ms ,共定时 20 次,即可完成 1 秒的定时功能。

9 . 2 . 4 、源程序                     

#include <AT89X52.H>

unsigned char code dispbit[]={0xfe,0xfd,0xfb,0xf7};

unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40};                  

unsigned char dispbuf[4]={0,0, 10,10};                    

unsigned char temp[4];                  

unsigned char dispcount;                 

unsigned char T0count;

unsigned char timecount;

bit flag;                    

unsigned long x;                   

void main(void)                    

{                    

unsigned char i;                    

TMOD=0x15;                     

TH0=0;

TL0=0;

TH1=(65536-4000)/256;

TL1=(65536-4000)%256;

TR1=1;

TR0=1;

ET0=1;

ET1=1;

EA=1;

while(1)

{

if(flag==1)

{

flag=0;

x=T0count*65536+TH0*256+TL0;

for(i=0;i<4;i++)

{

temp[i]=0;

}

i=0;

while(x/10)

{

temp[i]=x%10;

x=x/10;

i++;

}

temp[i]=x;

for(i=0;i<6;i++)

{

dispbuf[i]=temp[i];

}

timecount=0;

T0count=0;

TH0=0;

TL0=0;

TR0=1;

}

}

}

void t0(void) interrupt 1 using 0

{

T0count++;

}

 

void t1(void) interrupt 3 using 0

{

TH1=(65536-4000)/256;

TL1=(65536-4000)%256;

timecount++;

if(timecount==10)

{

TR0=0;

timecount=0;

flag=1;

}

P0=dispcode[dispbuf[dispcount]];

P2=dispbit[dispcount];

dispcount++;

if(dispcount==4)

{

dispcount=0;

}

}

10 小论

     本文的工作基础是应用 单片机 设计的数字频率计。利用 C 语言进行单片机应用系统数字频率计的设计,不仅编程简单,精度高,而且避免了汇编语言在进行乘除法运算时要考虑采用浮点运算的要求。与汇编语言相比编程语句大大减少。数字频率计的软件均经过测试。并进行了误差分析。频率的测量范围从 1Hz 到 1MHz ,具有一定的实用价值。

                                     结论

       大学四年中,我系统的学习了 单片机 的知识,也看到了单片机控制程序的广阔前景,选择这个课题,正是希望能加深自己对单片机各方面的理解,并在运用先前学到的知识进行设计的过程中,进一步的理解其实质和作用,巩固和拓展以前的学习成果,从而希望今后能在这个领域作出成绩。

    由于 单片机 技术发展已经很成熟,我们更多的是借鉴前人的工作,完善我们的设计。当然,我们在前人的基础上向前走了一步,无论是原器件的选择,还是程序的设计,我们做的比他们复杂,这并不是简单意义上的重复,而是消化吸收和创新。

    在设计的过程中,我们一方面澄清了先前的一些错误理解,另一方面也真正的体会到了书本知识转化为实践时的困难,往往很不起眼的一件事情,就是设计的关键,必须得搞清楚。为了查找相关的技术文献资料,我们上网,去学校图书馆甚至去省图书馆查找资料,虽然辛苦一点,但是令人欣慰的是学到了书本上学不到的东西,并且掌握了设计的一般方法。

    三个月的时间很短,我们的能力也有限,很可能我们的设计存在这样或那样的不足,希望各位多多批评斧正。我在这次设计中学到了很多东西,我认为最大的收获就是学会了和别人分工协作去完成一件事。这次设计的成功是我和本组同学团结协作的成果。

致谢

三个月的时间很快过去了,本篇论文也已初步完成。在这里首先要感谢院、系领导给予的大力支持,特别是张清林主任的支持,为本组提供了良好的设计环境和必要的设计装备,特别要感谢指导老师——张清林老师给予的悉心指导和不厌其烦的热情帮助,张老师以其渊博的知识、严谨的治学态度、开拓进取的精神和高度的责任心,给我的学习、工作、生活以很大的影响,并将永远激励我奋发向上。在此谨向导师表示最衷心的感谢、并致以崇高的敬意!

感谢答辩组的各位老师在炎热的天气下为我们所作的各种工作和对我们论文所作的批评斧正。

感谢辅导老师——李永富的热情帮助。特别是在制图、工程设计方面给予了我们极大的指导和帮助。

感谢本组同学积极的配合和其他同学的热情帮助。

另外,衷心感谢本文所引文献的作者和编者们。

参考文献

[1] 马家辰、孙玉德《 C-51 单片机 原理及接口技术》   哈尔滨工业大学出版社

[2] 陈丽芳   《 单片机 原理与控制技术》              东南大学出版社

[3] 何立民 《 单片机 系统设计》                    北京航空航天大学出版社

[4] 王修才、刘祖望:《 单片机 接口技术》            复旦大学出版社

[5] 李建忠 《 单片机 原理及应用》                  西安电子科技大学出版社

[6] 李广娣   《 单片机 基础》                               北京航空航天大学出版社

[7] 公茂法等《 单片机 人机接口实例集》          北京航天航空大学出版社 1997

[8] 《 Protel99SE 原理图与PCB设计》               清源计算机工作室

[9] 《实用电子电路手册》                     高等教育出版社, 1991 年 10 月

                 

三 : 数字频率计

数字频率计

目录

摘 要 ....................................................... 3

第一章 设计要求 .............................................. 4

原理框图 ............................................ 4

设计指标 ............................................ 4

设计方案比较 ........................................ 4

第二章 整体方案设计 ........................................... 5

2.1 算法设计 ........................................ 5

2.2 整体方框图及原理 ................................ 6

第三章 单元电路设计 ............................................ 7

3.1整形放大电路设计 ................................. 7

3.2时基电路设计 ..................................... 9

3.3闸门电路设计 .................................... 11

3.4控制电路设计 .................................... 13

3.5自动换挡设计 ………………………………………………14

3.5整体电路图 ...................................... 16

3.6整机原件清单 .................................... 16

第四章 设计小结 ............................................... 17

5.1 设计任务完成情况 ............................... 17

5.2 问题及改进 ..................................... 18

5.3心得体会 ........................................ 19

第五章 参考文献 ............................................... 19

1

摘要

数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器。其基本功能是测量正弦信号、方波信号、三角波信号以及其他各种单位时间内变化的物理量。

在数字电路中,数字频率计属于时序电路, 本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以触发器和计数器为核心,由信号输入、隔直,触发、计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进行预处理;闸门电路:攫取单位时间内进入计数器的脉冲个数;时基信号:基准信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。设计中采用了模块化设计方法,采用适当的放大和整形,提高了测量频率的范围。

本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。整个设计配以仿真电路图和波形图加以辅助说明。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是仿真结果及分析,这部分是为了分析电路是否按理论那样正常工作,便于理解。三是性能测试,这部分用于测试设计是否符合任务要求。最后是对本次课程设计的总结。

关键字:频率计、时基电路、逻辑控制、分频、计数、逻辑显示

2

第一章 数字频率计的设计

1

正弦波

图1-1 数字频率计原理框图 2设计目标: (1) 被测信号的频率范围为1Hz?999KHz,分为4个档位:

1Hz,10Hz,100Hz,KHz。

(2) 具有自检功能,即用仪器内部的标准脉冲校准测量精度。

(3) 用3为数码管显示测量数据,测量误差小于10%。

(4) 用3个发光二极管表示单位,分别对应3个高档位。

(5) 具有自动换挡功能,即超量程能换高档,欠量程换低档。

3设计方案比较

(1)方案一

本系统采用可控制的计数、锁存、译码显示系统,石英晶体振荡器及多级 分频系统,带衰减器的放大整形系统和闸门电路四部分组成。由晶体振荡器,多级分频系统及门控电路得到具有固定宽度T的方波脉冲做门控信号,当门控信号到来,闸门开启,周期为TX的信号脉冲和周期为T的门控信号相与通过闸门,在闸门输出端产生的脉冲信号送到计数器,计数器开始计数,知道门控信号结束,闸门关闭。单稳1的哲态送入锁存器的使能端,锁存器将计数器结果锁存,计数 3

器停止计数并被单稳2的暂态清零。若取闸门的时间T内通过闸门的信号脉冲个数为N,则锁存器中的锁存计数。测量频率可直接从数字显示器上读出。

(2)方案二

纯硬件的实现方法,系统采用由时基电路、放大整形电路、逻辑控制电路和数码显示器四部分组成。时基电路的作用是产生一个标准时间信号(高电平持续时间为1s),经过三极管与555构成的施密特整形电路放大整形,由74LS90十进制计数器和74LS273锁存器将所测的频率传给数码管,显示出来。

(3)方案比较

方案一和方案二均可实现课题要求,且方案二可根据闸门时间选择量程范围。而且方案二最大的特点就是全硬件电路实现,电路稳定性好、精度高、没有繁琐的软件调试过程,大大的缩短了测量周期。根据实际实验现有的器件及我们所掌握的知识层面,我们选择采用方案二。

第二章 整体方案设计

1 算法设计

频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。

被测信号

图2-2 频率测量算法对应的方框图

4

在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。该闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在103量级,则要求闸门信号的精度为10量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*103的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*103范围内。

但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍是1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10.

2 整体方框图及原理

输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。

频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。

计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。

5

控制电路:控制电路里面要产生计数清零信号和锁存控制信号。控制电路工作波形的示意图如图

2-5.

第三章 单元电路设计

1.放大整形电路

(1)电路分析:

对信号的放大功能由三极管构成放大电路来实现,对信号整形的功能由施密特触发器来实现。施密特触发器电路是一种特殊的数字器件,一般的数字电路器件当输入起过一定的阈值,其输出一种状态,当输入小于这个阈值时,转变为另一个状态,而施密特触发器不是单一的阈值,而是两个阈值,一个是高电平的阈值,输入从低电平向高电平变化时,仅当大于这个阈值时才为高电平,而从高电平向低电平变化时即使小于这个阈值,其仍看成为高电平,输出状态不这;低电平阈值具有相同的特点。

(2)电路图:

6

图3-1-1放大整形电路原理图

(3)仿真电路图:

频率为10KHZ时的仿真电路图:

图3-1-2频率为10KHZ时的仿真电路图

频率为1KHZ时的仿真电路图:

7

图3-1-3频率为1KHZ时的仿真电路图

2时基电路设计

(1)原理:

时基电路由两部分组成: 如图3-2-1所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/((R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。如图3-2-2所示,第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。计数器在脉动模式可级联,通过将Q3连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。

如图3-2-4所示,555产生的1kHz的信号经过三次分频后得到3个频率分别为100Hz、10Hz和1Hz的方波。

(2)电路原理图:

8

图3-2-1 振荡器原理图

图3-2-2 分频电路原理图

(2)电路仿真图:

9

图3-2-3振荡器仿真图

图3-2-4 分频电路仿真图

3闸门电路设计

(1)原理

10

如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。74151的CBA接拨盘开关来对选频进行控制。当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz的信号为例。分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。

(2)原理图

11

图3-3-1 闸门电路原理图

(3)波形图

图3-3-2 闸门电路波形图

4控制电路设计

12

(1)电路分析 :

控制电路需要控制几个模块。包括计数电路,锁存电路,和译码显示电路。通过产生控制信号控制所要控制的模块,同时会产生清零信号和锁存信号,使显示器显示的测量结果稳定。

(1)计数电路

74160 的清除端是异步的。当清除端/MR 为低电平时,不管时钟端CP 状态如何,即可完成清除功能。74160 的预置是同步的。当置入控制器/PE 为低电平时,在 CP 上升沿作用下,输出端 Q0-Q3 与数据输入端 P0-P3 一致。对于54/74160,当 CP 由低至高跳变或跳变前,如果计数控制端 CEP、CET为高电平,则/PE 应避免由低至高电平的跳变,而 54/74LS160 无此种限制。74160 的计数是同步的,靠 CP 同时加在四个触发器上而实现的。当 CEP、CET 均为高电平时,在 CP 上升沿作用下 Q0-Q3 同时变化,从而消除了异步计数器中出现的计数尖峰。对于 54/74160,只有当CP 为高电平时,CEP、CET 才允许由高至低电平的跳变,而 54/74LS160的 CEP、CET 跳变与 CP 无关。74160 有超前进位功能。当计数溢出时,进位输出端(TC)输出一个高电平脉冲,其宽度为 Q0 的高电平部分。在不外加门电路的情况下,可级联成 N 位同步计数器。对于 54/74LS160,在 CP 出现前,即使 CEP、CET、/MR 发生变化,电路的功能也不受影响。

3-4-1计数电路原理图

(2)锁存/译码电路

13

4511是 BCD-7 段所存译码驱动器,在同一单片结构上由 COS/MOS 逻辑器件和 n-p-n 双极型晶体管构成。这些器件的组合,使 4511 具有低静态耗散和高抗干扰及源电流高达 25mA 的性能。由此可直接驱动 LED 及其它器件。 LT 、BI 、LE 输入端分别检测显示、亮度调节、存储或选通一 BCD 码等功能。当使用外部多路转换电路时,可多路转换和显示几种不同的信号。根据4511的真值表来连线,并不困难,这里就不做详解了。

3-4-2锁存/译码电路原理图

(3)小数点发生电路

14

在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。比如CBA接001测量频率的时候,它所测信号频率的范围是0.1KHz~99.9KHz,那么在显示的时候三个小灯泡的绿色灯泡的小数点要显示。CBA接010测量频率的时候,它所测信号频率的范围是0.01KHz~9.99KHz,那么显示的时候,最高位的数码管的小数点也要显示。对比一下两个输入的高低电平可以发现CA位不一样,显示的小数点就不一样。我通过基本门电路来实现。具体的实现方法见图3-4-1所示。

图3-4-3 小数点发生器原理图

(2)控制电路总原理图:

图3-4-2 控制电路原理图

通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。比如频率,周期,脉宽。同时控制电路还要产生74160的清零信号,4511的锁存信号。 15

控制电路。计数电路和译码显示电路详细的电路如图3-5所示。当74153的CBA接001、010、011的时候电路实现的是测量被测信号频率的功能。当74153的CBA接100的时候实现的是测量被测信号周期的功能。当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。图3-6是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。第四个是锁存信号。PT是高电平的时候计数器开始工作。CLR为低电平的时候,计数器清零。根据图得知在计数之前对计数器进行了清零。根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。

(3)控制电路总波形图:

图3-4-3 计数器CP信号波形PT端输入波形CLR段清零信号波形、4511锁存端波形图 图3-4-3,是测量被测信号频率是1.1KHz的频率的图。由于multsisim软件篇幅的关系。时基电路产生的信号直接用信号发生器来代替。图中电路1K的信号经过分频后选择的是100Hz的信号为基准信号。那么这个电路实现测量频率的范围是0.01KHz~9.99KHz的信号的频率。同时控制电路也实现了对被测信号的周期和脉宽的测量。当CBA的取一定的值,电路实现一定的测量功能。 5自动换挡

(1)原理图

16

图3-5-1自动换挡原理图

自动换挡时采用可增可减的计数器74LS192来实现的,然后在结合D触发器和各基本门电路就能做成一个可进可退的自动换挡了,换挡的工作时钟是在计数完后才开始工作的,然后显示器是超量程了或是欠量程了就通过D触发器反馈出来的信号在作用在74LS192上就可以实现增减档位了,本图是由高档位向低档位过渡的,经由实践可得从高档位开始测量可以减小仿真时间。

6整体电路图

(1)总电路图

图3-6-1 整体电路图

(2)总波形图

17

图3-6-1 整体电路图波形图

(3)工作原理:

被测信号输入整形放大,最开始的测量档位时1KHZ,待测量完一次后,是否需要换量程则在计数器工作完后到自动换挡工作来检测,此时如果需要换挡则74LS192的UP/DOWN端口会由1变为0,待自动换挡信号由高电位变为低电位的那刻又由0变为1产生一个上升沿以给计数器加一或减一,以此类推,知道换到适合的档位的适合就保持不变。图3-5-2是测试365hz信号的过程。(该图为proteus仿真结果,本报告用的都是multisim11的图,但是因为仿真速度受限所以重新摆置了一个proteus的图来测试仿真,原图也是正确的,只是仿真太慢了不好截图)。 7整机原件清单

18

第四章 设计小结

1 设计任务完成情况

基本完成只用了2周,但是后续还是有很多问题出现,比如在震荡电路的时候,要调出1KHZ的标准频率有点困难,一不小心就会导致波形变形严重,开始认为这是最简单的一个环节的。接着是整形放大,遇到了2种情况,能放大又整形的不好,能整形放大的又不好,后来仔细研究了一下终于解决这个问题了,这个2个模块算是整个电路里面比较简单的地方了。接着是最难的控制电路,花的时间也非常的多,我先设计的是不自动换挡的时候确保能正常计数了,然后再设计自动换挡来加到控制电路当中,几经调整最后终于完成了全部任务,小数点是最后解决的因为是最简单的。

2 问题及改进

在设计的555构成多谐振荡器输出的方波信号,由于电路里面使用的电容元件,在实验的时候,随着实验室里面温度的变化,输出信号的频率也会发生变化,这是造成误差的一个原因,为了在验收的时候提高测量的准确性,所以在测量前要调节电位器,把产生的方波信号接示波器,测量其输出频率,调节电位器,使输出的信号非常接近1KHz,这样的话在后面的测量中会减小误差。在调测计数显示电路的时候,在连接4511元件的时候忘记了将4511的5端接地,导致数码管无法计数,在实验的过程中,连接好电路以后,发现没反应,然后通过示波器一个一个检测元件的输入和输出信号,看看是不是和理论的一样。找出不符合理论的那部分,对照电路图进行检查修改,最后发现有的芯片的使能端没有接地,导致元件的功能没有实现。所以在连接电路的时候要细心,这也是要改进的地方。不然的话就会出现一个又一个的连接上面的问题。在最终测量频率很低的时候,那么本次电路测量频率的算法就有了一定的缺点。例如,当被测信号为0.5Hz时,其周期为2s,这时闸门的脉冲仍为1s显然是不行的。故应该加宽闸门脉冲的宽度假设闸门脉冲宽度加至10S,则闸门导通期间可计数5次,由于计数值5是10s的计数结果,故在显示之间必须将计数值除以10.加宽闸门信号也会带来一些问题:计数结果要进行除以10的运算,每次测量的时间最少要10s,时间过长不符合人们的测量习惯,由于闸门期间计数值过少,测量的精度也会下降。为了克服测量低频信号时的不足,可以使用另一种算法。将被测信号送入被测信号闸门产生电路,该电路输出一个脉冲信号,脉宽与被测信号的周期相等。再用闸门产生电路输出的闸门信号控制闸门电路的导通与开断。设置一个频率精度较高的周期信号(例如10KHz)作为时基信号,当闸门导通时,时基信号通过闸门到达计数电路计数。由于闸门导通时间与被测信号周期相同,则可根据计数器计数值和时基信号的周期算出被测信号的周期T。T=时基信号周期*计数器计数值。再根 19

据频率和周期互为倒数的关系,算出被测信号的频率f。这里面就提供一个思想。没有通过实践去验证。不可避免,这个算法也有它自己的缺陷。

还有就是从手动换挡,到只可进或只可退的自动换挡,最后改进成了可进可退的自动换挡,其中的路途是非常曲折的,主要不同的就是换挡计数器的选择,例如用了74LS160做出来的就是可进的自动换挡,用74LS192做出来的才是可进可退的自动换挡。

3心得体会

本次实习让我们体味到设计电路、连接电路、调测电路过程中的乐苦与甜。设计是我们将来必需的技能,这次实习恰恰给我们提供了一个应用自己所学知识的机会,从到图书馆查找资料到对电路的设计对电路的调试再到最后电路的成型,都对我所学的知识进行了检验。在实习的过程中发现了以前学的数字电路的知识掌握的不牢。同时在设计的过程中,遇到了一些以前没有见到过的元件,但是通过查找资料来学习这些元件的功能和使用。波形调试过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调准等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。合理的分配时间。在设计控制电路的时候,我们可以连接译码显示和计数电路,这样就加快了完成的进度。最重要的是要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。觉得这次试验选择了multisim11比较失误,做个仿真都要等个1,2小时,最长的一次我等了6个小时,太夸张了,但是又觉得proteus的人机界面不怎么友好,比较难使用,以后要趁着闲暇的时间去熟悉一下proteus了,因为整体电路是看着网上的图来修改的,所以没什么很大的成就感。完成了电子技术课程设计以后,因我和我的队友迫于考试,时间比较仓促,元器件也比较紧张,所以最终还是决定不做实物了,但也希望老师能给个对得起我这努力的分数。以后有时间了私下在慢慢做实物了。

第五章 参考文献

百度文库:http://wenku.baidu.com/

20

本文标题:数字频率计-数字频率计
本文地址: http://www.61k.com/1160743.html

61阅读| 精彩专题| 最新文章| 热门文章| 苏ICP备13036349号-1